HP Series 7 Guía de usuario

Busca en linea o descarga Guía de usuario para Barebones Pc / Estación De Trabajo HP Series 7. HP Series 7 User guide Manual de usuario

  • Descarga
  • Añadir a mis manuales
  • Imprimir
  • Pagina
    / 186
  • Tabla de contenidos
  • MARCADORES
  • Valorado. / 5. Basado en revisión del cliente

Indice de contenidos

Pagina 1 - SelectIO Resources

7 Series FPGAs SelectIO ResourcesUser GuideUG471 (v1.5) May 15, 2015

Pagina 2 - Revision History

10 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015

Pagina 3

100 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesLVTTL HR 3.3 3.3 N/A N/AMINI_LVDS

Pagina 4

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 101UG471 (v1.5) May 15, 2015Rules for Combining I/O Standards in the Same BankTable 1-56,

Pagina 5 - Table of Contents

102 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesHSLVDCI_15 HP N/A N/A Yes Driver

Pagina 6

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 103UG471 (v1.5) May 15, 2015Rules for Combining I/O Standards in the Same BankMINI_LVDS_25

Pagina 7

104 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSimultaneous Switching OutputsDue

Pagina 8

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 105UG471 (v1.5) May 15, 2015Chapter 2SelectIO Logic ResourcesIntroductionThis chapter desc

Pagina 9

106 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesILOGIC ResourcesThe ILOGIC

Pagina 10 - UG471 (v1.5) May 15, 2015

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 107UG471 (v1.5) May 15, 2015ILOGIC ResourcesX-Ref Target - Figure 2-3Figure 2-3: ILOGICE2

Pagina 11 - About This Guide

108 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesILOGIC can support the foll

Pagina 12 - Preface: About This Guide

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 109UG471 (v1.5) May 15, 2015ILOGIC ResourcesThe ILOGIC block registers have a common synch

Pagina 13

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 11UG471 (v1.5) May 15, 2015PrefaceAbout This GuideXilinx® 7 series FPGAs include three uni

Pagina 14 - New Features

110 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesSAME_EDGE ModeIn the SAME_E

Pagina 15

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 111UG471 (v1.5) May 15, 2015ILOGIC ResourcesInput DDR Resources (IDDR)Figure 2-8 shows the

Pagina 16

112 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesIDDR VHDL and Verilog Templ

Pagina 17 - 7 Series FPGA I/O Bank Rules

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 113UG471 (v1.5) May 15, 2015ILOGIC Resources• At time TIDOCK before Clock Event 1, the inp

Pagina 18

114 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesClock Event 9• At time TISR

Pagina 19 - Introduction

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 115UG471 (v1.5) May 15, 2015Input Delay Resources (IDELAY)IDELAYE2 PrimitiveFigure 2-11 sh

Pagina 20 - Xilinx DCI

116 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesIDELAY PortsData Input from

Pagina 21

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 117UG471 (v1.5) May 15, 2015Input Delay Resources (IDELAY)Pipeline Register Reset - REGRST

Pagina 22 - DCI Cascading

118 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesIDELAY AttributesTable 2-5

Pagina 23

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 119UG471 (v1.5) May 15, 2015Input Delay Resources (IDELAY)IDELAY_TYPE AttributeThe IDELAY_

Pagina 24

12 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Preface: About This Guide

Pagina 25

120 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesIDELAYCTRL primitive must b

Pagina 26

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 121UG471 (v1.5) May 15, 2015Input Delay Resources (IDELAY)Figure 2-12 shows an IDELAY (IDE

Pagina 27

122 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesClock Event 0Before LD is p

Pagina 28 - DCI and 3-state DCI (T_DCI)

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 123UG471 (v1.5) May 15, 2015IDELAYCTRLIn VHDL, each template has a component declaration s

Pagina 29

124 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesRDY - ReadyThe ready (RDY)

Pagina 30

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 125UG471 (v1.5) May 15, 2015OLOGIC ResourcesIDELAYCTRL Usage and Design GuidelinesFor more

Pagina 31 - DCI Usage Examples

126 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesThis section of the documen

Pagina 32

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 127UG471 (v1.5) May 15, 2015OLOGIC ResourcesOPPOSITE_EDGE ModeIn OPPOSITE_EDGE mode, both

Pagina 33

128 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesOutput DDR Primitive (ODDR)

Pagina 34

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 129UG471 (v1.5) May 15, 2015OLOGIC ResourcesTiming CharacteristicsFigure 2-21 illustrates

Pagina 35 - IBUF_IBUFDISABLE

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 13UG471 (v1.5) May 15, 2015Chapter 1SelectIO ResourcesI/O Tile OverviewInput/output charac

Pagina 36 - IBUFDS and IBUFGDS

130 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesClock Event 4At time TOSRCK

Pagina 37 - IBUFDS_IBUFDISABLE

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 131UG471 (v1.5) May 15, 2015OLOGIC ResourcesFigure 2-23 illustrates the OLOGIC 3-state reg

Pagina 38 - IBUFDS_DIFF_OUT_INTERMDISABLE

132 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesFigure 2-24 illustrates IOB

Pagina 39 - IOBUF_DCIEN

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 133UG471 (v1.5) May 15, 2015Output Delay Resources (ODELAY)—Not Available in HR BanksOutpu

Pagina 40 - IOBUF_INTERMDISABLE

134 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesODELAY PortsData Input from

Pagina 41 - IOBUFDS_DCIEN

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 135UG471 (v1.5) May 15, 2015Output Delay Resources (ODELAY)—Not Available in HR BanksPipel

Pagina 42 - IOBUFDS_DIFF_OUT

136 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesODELAY AttributesTable 2-14

Pagina 43 - IOBUFDS_DIFF_OUT_DCIEN

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 137UG471 (v1.5) May 15, 2015Output Delay Resources (ODELAY)—Not Available in HR BanksODELA

Pagina 44 - IOBUFDS_INTERMDISABLE

138 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic Resourcesthe FPGA logic. When LD is

Pagina 45

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 139UG471 (v1.5) May 15, 2015Output Delay Resources (ODELAY)—Not Available in HR BanksClock

Pagina 46 - DCI_CASCADE Constraint

14 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesNew FeaturesThe 7 series devices s

Pagina 47 - IBUF_LOW_PWR Attribute

140 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesStability after an Incremen

Pagina 48 - Output Slew Rate Attributes

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 141UG471 (v1.5) May 15, 2015Chapter 3Advanced SelectIO Logic ResourcesIntroductionThe I/O

Pagina 49

142 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesISERDESE2 contains

Pagina 50 - VCCAUX_IO Constraint

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 143UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)ISERDESE2

Pagina 51 - LVTTL (Low Voltage TTL)

144 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesISERDESE2 PortsReg

Pagina 52

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 145UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)Combinator

Pagina 53

146 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesWhen NUM_CE = 1, t

Pagina 54 - LVCMOS (Low Voltage CMOS)

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 147UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)Serial Inp

Pagina 55

148 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesISERDESE2 Attribut

Pagina 56

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 149UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)DATA_RATE

Pagina 57 - LVDCI _ DV2

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 15UG471 (v1.5) May 15, 2015SelectIO Resources IntroductionSelectIO Resources IntroductionA

Pagina 58

150 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesNUM_CE AttributeTh

Pagina 59 - HSLVDCI (High-Speed LVDCI)

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 151UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)The only v

Pagina 60 - HSTL_ II and HSTL_ II_18

152 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic Resourcesin this mode. The

Pagina 61

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 153UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)MEMORY_DDR

Pagina 62 - N/A Available

154 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic Resources4. The SLAVE uses

Pagina 63 - External Termination

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 155UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)Using D an

Pagina 64 - Differential HSTL Class I

156 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic Resourcesnames do not chang

Pagina 65

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 157UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)a shift ri

Pagina 66 - HSTL Class II

158 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesBitslip Timing Mod

Pagina 67

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 159UG471 (v1.5) May 15, 2015Output Parallel-to-Serial Logic Resources (OSERDESE2)Output Pa

Pagina 68 - Differential HSTL Class II

16 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesX-Ref Target - Figure 1-2Figure 1-

Pagina 69

160 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic Resources3-State Parallel-t

Pagina 70

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 161UG471 (v1.5) May 15, 2015Output Parallel-to-Serial Logic Resources (OSERDESE2)OSERDESE2

Pagina 71

162 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic Resources3-state Control Ou

Pagina 72 - (T pin logic High)

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 163UG471 (v1.5) May 15, 2015Output Parallel-to-Serial Logic Resources (OSERDESE2)OSERDESE2

Pagina 73

164 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesDATA_WIDTH Attribu

Pagina 74

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 165UG471 (v1.5) May 15, 2015Output Parallel-to-Serial Logic Resources (OSERDESE2)OSERDESE2

Pagina 75

166 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesTable 3-9 lists th

Pagina 76 - DIFF_SSTL135

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 167UG471 (v1.5) May 15, 2015Output Parallel-to-Serial Logic Resources (OSERDESE2)OSERDESE2

Pagina 77 - User Guide for details

168 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesTiming Characteris

Pagina 78

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 169UG471 (v1.5) May 15, 2015Output Parallel-to-Serial Logic Resources (OSERDESE2)Timing Ch

Pagina 79

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 17UG471 (v1.5) May 15, 2015SelectIO Resources General GuidelinesSelectIO Resources General

Pagina 80

170 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesClock Event 4Betwe

Pagina 81

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 171UG471 (v1.5) May 15, 2015IO_FIFO OverviewClock Event 2The data bit E appears at OQ one

Pagina 82

172 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesThe IO_FIFOs have

Pagina 83

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 173UG471 (v1.5) May 15, 2015IO_FIFO Overview• 4 x 8 mode – This mode configures the FIFO t

Pagina 84 - HSUL_12 and DIFF_HSUL_12

174 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesTable 3-15 lists t

Pagina 85 - Example Board Topology

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 175UG471 (v1.5) May 15, 2015IO_FIFO OverviewOUT_FIFOThe OUT_FIFO is co-located with the IN

Pagina 86 - Differential HSUL_12

176 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic Resourcesused when the outp

Pagina 87

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 177UG471 (v1.5) May 15, 2015IO_FIFO OverviewTable 3-18 lists the available ports in the OU

Pagina 88 - MOBILE_DDR (Low Power DDR)

178 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesResetting the IO_F

Pagina 89

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 179UG471 (v1.5) May 15, 2015IO_FIFO Overview.Table 3-19: IO_FIFO AttributesAttribute Value

Pagina 90

18 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSupply Voltages for the SelectIO P

Pagina 91 - Receiver Termination

180 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic Resources

Pagina 92

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 181UG471 (v1.5) May 15, 2015Appendix ATermination Options for SSO Noise AnalysisThe PlanAh

Pagina 93 - Input to the FPGA

182 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Appendix A: Termination Options for SSO Noise AnalysisLVCMOS (

Pagina 94

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 183UG471 (v1.5) May 15, 2015Figure A-1 illustrates each of these terminations.TMDS_33 Far

Pagina 95

184 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Appendix A: Termination Options for SSO Noise AnalysisX-Ref Ta

Pagina 96 - BLVDS (Bus LVDS)

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 185UG471 (v1.5) May 15, 2015

Pagina 97

186 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Appendix A: Termination Options for SSO Noise Analysis

Pagina 98

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 19UG471 (v1.5) May 15, 20157 Series FPGA DCI—Only available in the HP I/O banksThere is a

Pagina 99 - Table 1-55: V

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com UG471 (v1.5) May 15, 2015The information disclosed to you hereunder (the "Materials&q

Pagina 100

20 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO Resourcesimpedance due to process variation

Pagina 101

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 21UG471 (v1.5) May 15, 20157 Series FPGA DCI—Only available in the HP I/O banksFor control

Pagina 102 - Chapter 1: SelectIO Resources

22 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesDCIRESET PrimitiveDCIRESET is a Xi

Pagina 103

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 23UG471 (v1.5) May 15, 20157 Series FPGA DCI—Only available in the HP I/O banksFigure 1-7

Pagina 104

24 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesThe guidelines when using DCI casc

Pagina 105 - SelectIO Logic Resources

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 25UG471 (v1.5) May 15, 20157 Series FPGA DCI—Only available in the HP I/O banksControlled

Pagina 106 - ILOGIC Resources

26 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSplit-Termination DCI (Thevenin Eq

Pagina 107

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 27UG471 (v1.5) May 15, 20157 Series FPGA DCI—Only available in the HP I/O banksFigure 1-11

Pagina 108 - ZHOLD_DELAY

28 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesDCI and 3-state DCI (T_DCI)The cla

Pagina 109 - OPPOSITE_EDGE Mode

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 29UG471 (v1.5) May 15, 20157 Series FPGA DCI—Only available in the HP I/O banksThe I/O sta

Pagina 110 - SAME_EDGE_PIPELINED Mode

UG471 (v1.5) May 15, 2015 www.xilinx.com 7 Series FPGAs SelectIO Resources User Guide07/20/2012 1.2(Cont’d)Updated ILOGIC Resources. In Table 2-3, add

Pagina 111 - Input DDR Resources (IDDR)

30 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesTo correctly use DCI in 7 series d

Pagina 112 - ILOGIC Timing Models

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 31UG471 (v1.5) May 15, 20157 Series FPGA DCI—Only available in the HP I/O banksDCI Usage E

Pagina 113 - Clock Event 1

32 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesX-Ref Target - Figure 1-14Figure 1

Pagina 114 - Clock Event 9

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 33UG471 (v1.5) May 15, 2015Uncalibrated Split Termination in High-Range I/O Banks (IN_TERM

Pagina 115 - IDELAYE2 Primitive

34 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO Resources7 Series FPGA SelectIO PrimitivesT

Pagina 116 - IDELAY Ports

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 35UG471 (v1.5) May 15, 20157 Series FPGA SelectIO PrimitivesMore information including ins

Pagina 117 - IDELAYRESOLUTION

36 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesIBUF_INTERMDISABLEThe IBUF_INTERMD

Pagina 118 - IDELAY Attributes

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 37UG471 (v1.5) May 15, 20157 Series FPGA SelectIO PrimitivesIBUFDS_DIFF_OUT and IBUFGDS_DI

Pagina 119 - IDELAY Modes

38 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesThe IBUFDS_IBUFDISABLE primitive c

Pagina 120 - IDELAY Timing

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 39UG471 (v1.5) May 15, 20157 Series FPGA SelectIO PrimitivesIBUFDISABLE port that can be u

Pagina 121 - Clock Event 3

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com UG471 (v1.5) May 15, 201505/13/2014 1.4(Cont’d)Added to list of criteria after Table 1-44.

Pagina 122 - Clock Event 0

40 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSplit-Termination DCI (Thevenin Eq

Pagina 123 - IDELAYCTRL

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 41UG471 (v1.5) May 15, 20157 Series FPGA SelectIO PrimitivesThe IOBUF_INTERMDISABLE primit

Pagina 124 - IDELAYCTRL Locations

42 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesThe IOBUFDS_DCIEN primitive can di

Pagina 125 - OLOGIC Resources

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 43UG471 (v1.5) May 15, 20157 Series FPGA SelectIO PrimitivesIOBUFDS_DIFF_OUT_DCIENThe IOBU

Pagina 126 - Output DDR Overview (ODDR)

44 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO Resourcessplit-termination DCI feature, thi

Pagina 127 - Clock Forwarding

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 45UG471 (v1.5) May 15, 20157 Series FPGA SelectIO Primitives(IN_TERM) for more details on

Pagina 128 - OLOGIC Timing Models

46 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesOBUFTThe generic 3-state output bu

Pagina 129 - Timing Characteristics

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 47UG471 (v1.5) May 15, 20157 Series FPGA SelectIO Attributes/ConstraintsCONFIG DCI_CASCADE

Pagina 130

48 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO Resources•All VREF-based inputs such as HSL

Pagina 131

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 49UG471 (v1.5) May 15, 20157 Series FPGA SelectIO Attributes/ConstraintsThe DRIVE attribut

Pagina 132

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 5UG471 (v1.5) May 15, 2015Revision History . . . . . . . . . . . . . . . . . . . . . . . .

Pagina 133 - ODELAYE2 Primitive

50 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesInternal VREFThe VREF for an I/O b

Pagina 134 - ODELAY Ports

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 51UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsIn Verilog, the Verilog

Pagina 135

52 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesFigure 1-37 shows unidirectional t

Pagina 136 - ODELAY Attributes

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 53UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-38 shows a bid

Pagina 137 - ODELAY Modes

54 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesLVCMOS (Low Voltage CMOS)LVCMOS is

Pagina 138 - ODELAY Timing

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 55UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-40 shows a bid

Pagina 139

56 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesTable 1-14 details the allowed att

Pagina 140

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 57UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsLVDCI _ DV2A controlled

Pagina 141 - Chapter 3

58 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesThere are no optional current driv

Pagina 142

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 59UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsHSLVDCI (High-Speed LVD

Pagina 143 - Primitive

6 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015IOBUFDS_DIFF_OUT . . . . . . . . . . . . . . . . . . . . . . . .

Pagina 144 - Registered Outputs – Q1 to Q8

60 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesHSTL (High-Speed Transceiver Logic

Pagina 145 - Bitslip Operation - BITSLIP

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 61UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsHSTL_ II_DCI and HSTL_

Pagina 146 - Divided Clock Input - CLKDIV

62 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesDifferential HSTL can also be used

Pagina 147 - Reset Input - RST

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 63UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsX-Ref Target - Figure 1

Pagina 148 - ISERDESE2 Attributes

64 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesDifferential HSTL Class IFigure 1-

Pagina 149 - INTERFACE_TYPE Attribute

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 65UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-48 shows a sam

Pagina 150 - ISERDESE2 Clocking Methods

66 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesHSTL Class IIFigure 1-49 shows a s

Pagina 151 - OVERSAMPLE Interface Type

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 67UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-50 shows a sam

Pagina 152

68 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesDifferential HSTL Class IIFigure 1

Pagina 153 - ISERDESE2 Width Expansion

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 69UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-52 shows a sam

Pagina 154 - OSERDESE2

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 7UG471 (v1.5) May 15, 2015SSTL18, SSTL15, SSTL135, SSTL12 . . . . . . . . . . . . . . . .

Pagina 155

70 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesFigure 1-53 shows a sample circuit

Pagina 156 - BITSLIP Submodule

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 71UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-54 shows a sam

Pagina 157

72 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesHSTL_II_T_DCI (1.5V or 1.8V) Split

Pagina 158 - After Clock Event 3

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 73UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-56 shows a sam

Pagina 159

74 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSSTL (Stub-Series Terminated Logic

Pagina 160 - OSERDESE2 Primitive

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 75UG471 (v1.5) May 15, 2015Supported I/O Standards and Terminationsbidirectional signals (

Pagina 161 - Data Path Output - OQ

76 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSSTL15_R, SSTL135_R, DIFF_SSTL15_R

Pagina 162

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 77UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsNote: A lower resistanc

Pagina 163 - DATA_RATE_TQ Attribute

78 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSSTL18, SSTL15, SSTL135, SSTL12Fig

Pagina 164 - TRISTATE_WIDTH Attribute

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 79UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-58 shows a sam

Pagina 165 - OSERDESE2 Width Expansion

8 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Combinatorial Output Data and 3-State Control Path . . . . . .

Pagina 166 - OSERDESE2 Latencies

80 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesDifferential SSTL18, SSTL15, SSTL1

Pagina 167

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 81UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-60 shows a sam

Pagina 168

82 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesFigure 1-61 shows a sample circuit

Pagina 169

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 83UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-62 shows a sam

Pagina 170

84 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSSTL18, SSTL15, SSTL135, or SSTL12

Pagina 171 - IO_FIFO Overview

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 85UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsHSUL_DCI_12 and DIFF_HS

Pagina 172 - Register Register

86 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesFigure 1-65 shows a sample circuit

Pagina 173 - IN_FIFO Primitive

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 87UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-67 shows a sam

Pagina 174 - Table 3-15: IN_FIFO Ports

88 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesFigure 1-69 shows a sample circuit

Pagina 175 - OUT_FIFO

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 89UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsTable 1-40: IOSTANDARD

Pagina 176 - OUT_FIFO Primitive

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 9UG471 (v1.5) May 15, 2015ISERDESE2 Feedback from OSERDESE2 . . . . . . . . . . . . . . .

Pagina 177 - Table 3-18: OUT_FIFO Ports

90 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesTable 1-41: IOSTANDARD Attributes

Pagina 178 - EMPTY and FULL Flags

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 91UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsLVDS and LVDS_25 (Low V

Pagina 179

92 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesFigure 1-71 is an example of a dif

Pagina 180

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 93UG471 (v1.5) May 15, 2015Supported I/O Standards and Terminations• The differential sign

Pagina 181 - SSO Noise Analysis

94 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesRSDS (Reduced Swing Differential S

Pagina 182

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 95UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsPPDS (Point-to-Point Di

Pagina 183 - Default Termination

96 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesBLVDS (Bus LVDS)Since LVDS is inte

Pagina 184

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 97UG471 (v1.5) May 15, 2015Rules for Combining I/O Standards in the Same BankRules for Com

Pagina 185

98 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesTable 1-55, summarizes the VCCO an

Pagina 186

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 99UG471 (v1.5) May 15, 2015Rules for Combining I/O Standards in the Same BankDIFF_SSTL18_I

Comentarios a estos manuales

Sin comentarios